.

运算符‘SQRT’

.
.

该IEC运算符返回一个数值的平方根。

输入变量允许的数据类型:任何数字基本数据类型

输出变量允许的数据类型:REALLREAL

.

.例如

结果为q: 4

ST:

q := SQRT(16); 
 

FBD: